© kkssr | Dreamstime.com
660dc24451ccec001fabb07d Dreamstime M 162132692

Tackling Low Power Challenges

Sept. 15, 2022
Realize best power using activity-based placement and routing for lower dynamic power and better overall PPA in low-power SoC designs.

By starting with the power metric as the top goal during optimization, the place-and-route flow can achieve the best possible power for that node, library and design specs, and optimize from that point to reach the timing target.

Designers can achieve the best possible performance, power, and area (PPA) with Aprisa’s PowerFirst technology:

  • Leverage an activity-driven methodology to optimize the design
  • Reduce internal, switching, and leakage power on the most power-sensitive designs
  • Meet strict power specifications without sacrificing performance

Learn more about optimizing PPA for power-sensitive designs with Aprisa place-and-route software by downloading the eBook below.